=>> Building devel/py-ice build started at Fri Apr 12 03:20:09 UTC 2019 port directory: /usr/ports/devel/py-ice package name: py27-Ice-3.6.4 building for: FreeBSD ppcports 13.0-CURRENT FreeBSD 13.0-CURRENT 1300013 powerpc maintained by: freebsd@grem.de Makefile ident: $FreeBSD: head/devel/py-ice/Makefile 441429 2017-05-21 21:55:08Z linimon $ Poudriere version: 3.2.8 Host OSVERSION: 1300012 Jail OSVERSION: 1300013 Job Id: 01 !!! Jail is newer than host. (Jail: 1300013, Host: 1300012) !!! !!! This is not supported. !!! !!! Host kernel must be same or newer than jail. !!! !!! Expect build failures. !!! ---Begin Environment--- SHELL=/bin/csh OSVERSION=1300013 UNAME_v=FreeBSD 13.0-CURRENT 1300013 UNAME_r=13.0-CURRENT BLOCKSIZE=K MAIL=/var/mail/root STATUS=1 HOME=/root PATH=/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin LOCALBASE=/usr/local USER=root TRYBROKEN=yes LIBEXECPREFIX=/usr/local/libexec/poudriere POUDRIERE_VERSION=3.2.8 MASTERMNT=/zfs/poudriere/data/.m/head-head-powerpc64-default/ref POUDRIERE_BUILD_TYPE=bulk PACKAGE_BUILDING=yes SAVED_TERM=screen GID=0 UID=0 PWD=/zfs/poudriere/data/.m/head-head-powerpc64-default/ref/.p/pool P_PORTS_FEATURES=FLAVORS SELECTED_OPTIONS MASTERNAME=head-head-powerpc64-default SCRIPTPREFIX=/usr/local/share/poudriere OLDPWD=/zfs/poudriere/data/.m/head-head-powerpc64-default/ref/.p SCRIPTPATH=/usr/local/share/poudriere/bulk.sh POUDRIEREPATH=/usr/local/bin/poudriere ---End Environment--- ---Begin Poudriere Port Flags/Env--- PORT_FLAGS= PKGENV= FLAVOR= DEPENDS_ARGS= MAKE_ARGS= ---End Poudriere Port Flags/Env--- ---Begin OPTIONS List--- ===> The following configuration options are available for py27-Ice-3.6.4: DEBUG=off: Build with debugging support TEST=off: Build and/or run tests ===> Use 'make config' to modify these settings ---End OPTIONS List--- --MAINTAINER-- freebsd@grem.de --End MAINTAINER-- --CONFIGURE_ARGS-- --End CONFIGURE_ARGS-- --CONFIGURE_ENV-- PYTHON="/usr/local/bin/python2.7" MAKE=gmake XDG_DATA_HOME=/wrkdirs/usr/ports/devel/py-ice/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/py-ice/work HOME=/wrkdirs/usr/ports/devel/py-ice/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/devel/py-ice/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin SHELL=/bin/sh CONFIG_SHELL=/bin/sh --End CONFIGURE_ENV-- --MAKE_ENV-- PYTHON_VERSION=python2.7 LP64=yes NOTESTS=yes XDG_DATA_HOME=/wrkdirs/usr/ports/devel/py-ice/work XDG_CONFIG_HOME=/wrkdirs/usr/ports/devel/py-ice/work HOME=/wrkdirs/usr/ports/devel/py-ice/work TMPDIR="/tmp" PATH=/wrkdirs/usr/ports/devel/py-ice/work/.bin:/sbin:/bin:/usr/sbin:/usr/bin:/usr/local/sbin:/usr/local/bin:/root/bin NO_PIE=yes MK_DEBUG_FILES=no MK_KERNEL_SYMBOLS=no SHELL=/bin/sh NO_LINT=YES PREFIX=/usr/local LOCALBASE=/usr/local CC="cc" CFLAGS="-O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing " CPP="cpp" CPPFLAGS="-DLIBICONV_PLUG" LDFLAGS=" " LIBS="" CXX="c++" CXXFLAGS="-O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG " MANPREFIX="/usr/local" BSD_INSTALL_PROGRAM="install -s -m 555" BSD_INSTALL_LIB="install -s -m 0644" BSD_INSTALL_SCRIPT="install -m 555" BSD_INSTALL_DATA="install -m 0644" BSD_INSTALL_MAN="install -m 444" --End MAKE_ENV-- --PLIST_SUB-- LIB_VERSION="3.6.4" LIB_VRS="36" LIB_VERSION="3.6.4" LIB_VRS="36" PYTHON_INCLUDEDIR=include/python2.7 PYTHON_LIBDIR=lib/python2.7 PYTHON_PLATFORM=freebsd13 PYTHON_PYOEXTENSION=pyo PYTHON_SITELIBDIR=lib/python2.7/site-packages PYTHON_SUFFIX=27 PYTHON_VER=2.7 PYTHON_VERSION=python2.7 PYTHON2="" PYTHON3="@comment " NAMESPACES="@comment " NONAMESPACES="" OSREL=13.0 PREFIX=%D LOCALBASE=/usr/local RESETPREFIX=/usr/local LIB32DIR=lib DOCSDIR="share/doc/Ice" EXAMPLESDIR="share/examples/Ice" DATADIR="share/Ice" WWWDIR="www/Ice" ETCDIR="etc/Ice" --End PLIST_SUB-- --SUB_LIST-- PREFIX=/usr/local LOCALBASE=/usr/local DATADIR=/usr/local/share/Ice DOCSDIR=/usr/local/share/doc/Ice EXAMPLESDIR=/usr/local/share/examples/Ice WWWDIR=/usr/local/www/Ice ETCDIR=/usr/local/etc/Ice --End SUB_LIST-- ---Begin make.conf--- USE_PACKAGE_DEPENDS=yes BATCH=yes WRKDIRPREFIX=/wrkdirs PORTSDIR=/usr/ports PACKAGES=/packages DISTDIR=/distfiles FORCE_PACKAGE=yes PACKAGE_BUILDING=yes PACKAGE_BUILDING_FLAVORS=yes #### /usr/ports/Mk/Scripts/ports_env.sh #### _CCVERSION_921dbbb2=cc (GCC) 4.2.1 20070831 patched [FreeBSD] Copyright (C) 2007 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. _ALTCCVERSION_921dbbb2=none _CXXINTERNAL_acaad9ca=Using built-in specs. Target: powerpc64-undermydesk-freebsd Configured with: FreeBSD/powerpc64 system compiler Thread model: posix gcc version 4.2.1 20070831 patched [FreeBSD] "/usr/bin/ld" "--eh-frame-hdr" "-Qy" "-melf64ppc_fbsd" "--enable-new-dtags" "-dynamic-linker" "/libexec/ld-elf.so.1" "/usr/lib/crt1.o" "/usr/lib/crti.o" "/usr/lib/crtbegin.o" "-L/usr/lib" "-L/usr/lib" "/dev/null" "-lstdc++" "-lm" "-lgcc_s" "-lgcc" "-lc" "-lgcc_s" "-lgcc" "/usr/lib/crtsavres.o" "/usr/lib/crtend.o" "/usr/lib/crtn.o" CC_OUTPUT_921dbbb2_58173849=yes CC_OUTPUT_921dbbb2_9bdba57c=yes CC_OUTPUT_921dbbb2_6a4fe7f5=cc1: error: unrecognized command line option "-std=c11" CC_OUTPUT_921dbbb2_6bcac02b=yes CC_OUTPUT_921dbbb2_67d20829=yes CC_OUTPUT_921dbbb2_bfa62e83=cc1: error: unrecognized command line option "-std=gnu11" CC_OUTPUT_921dbbb2_f0b4d593=yes CC_OUTPUT_921dbbb2_308abb44=cc1plus: error: unrecognized command line option "-std=c++0x" CC_OUTPUT_921dbbb2_f00456e5=cc1plus: error: unrecognized command line option "-std=c++11" CC_OUTPUT_921dbbb2_65ad290d=cc1plus: error: unrecognized command line option "-std=c++14" CC_OUTPUT_921dbbb2_f2776b26=cc1plus: error: unrecognized command line option "-std=c++17" CC_OUTPUT_921dbbb2_b2657cc3=yes CC_OUTPUT_921dbbb2_380987f7=cc1plus: error: unrecognized command line option "-std=gnu++11" CC_OUTPUT_921dbbb2_160933ec=cc1plus: error: unrecognized command line option "-std=gnu++14" CC_OUTPUT_921dbbb2_fb62803b=cc1plus: error: unrecognized command line option "-std=gnu++17" _OBJC_CCVERSION_921dbbb2=cc (GCC) 4.2.1 20070831 patched [FreeBSD] Copyright (C) 2007 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. _OBJC_ALTCCVERSION_921dbbb2=none ARCH=powerpc64 OPSYS=FreeBSD _OSRELEASE=13.0-CURRENT OSREL=13.0 OSVERSION=1300013 PYTHONBASE=/usr/local _SMP_CPUS=80 CONFIGURE_MAX_CMD_LEN=262144 HAVE_PORTS_ENV=1 #### Misc Poudriere #### DISABLE_MAKE_JOBS=poudriere ---End make.conf--- --Resource limits-- cpu time (seconds, -t) unlimited file size (512-blocks, -f) unlimited data seg size (kbytes, -d) 33554432 stack size (kbytes, -s) 524288 core file size (512-blocks, -c) unlimited max memory size (kbytes, -m) unlimited locked memory (kbytes, -l) unlimited max user processes (-u) 89999 open files (-n) 1024 virtual mem size (kbytes, -v) unlimited swap limit (kbytes, -w) unlimited socket buffer size (bytes, -b) unlimited pseudo-terminals (-p) unlimited kqueues (-k) unlimited umtx shared locks (-o) unlimited --End resource limits-- =================================================== ===> License GPLv2 accepted by the user =========================================================================== =================================================== ===> py27-Ice-3.6.4 depends on file: /usr/local/sbin/pkg - not found ===> Installing existing package /packages/All/pkg-1.10.5_5.txz [ppcports] Installing pkg-1.10.5_5... [ppcports] Extracting pkg-1.10.5_5: .......... done ===> py27-Ice-3.6.4 depends on file: /usr/local/sbin/pkg - found ===> Returning to build of py27-Ice-3.6.4 =========================================================================== =================================================== =========================================================================== =================================================== ===> License GPLv2 accepted by the user ===> Fetching all distfiles required by py27-Ice-3.6.4 for building =========================================================================== =================================================== ===> License GPLv2 accepted by the user ===> Fetching all distfiles required by py27-Ice-3.6.4 for building => SHA256 Checksum OK for zeroc-ice-ice-v3.6.4_GH0.tar.gz. =========================================================================== =================================================== =========================================================================== =================================================== ===> License GPLv2 accepted by the user ===> Fetching all distfiles required by py27-Ice-3.6.4 for building ===> Extracting for py27-Ice-3.6.4 => SHA256 Checksum OK for zeroc-ice-ice-v3.6.4_GH0.tar.gz. =========================================================================== =================================================== =========================================================================== =================================================== ===> Patching for py27-Ice-3.6.4 ===> Applying FreeBSD patches for py27-Ice-3.6.4 =========================================================================== =================================================== ===> py27-Ice-3.6.4 depends on executable: mcpp - not found ===> Installing existing package /packages/All/mcpp-2.7.2_3.txz [ppcports] Installing mcpp-2.7.2_3... [ppcports] Extracting mcpp-2.7.2_3: .......... done ===> py27-Ice-3.6.4 depends on executable: mcpp - found ===> Returning to build of py27-Ice-3.6.4 ===> py27-Ice-3.6.4 depends on file: /usr/local/bin/python2.7 - not found ===> Installing existing package /packages/All/python27-2.7.16.txz [ppcports] Installing python27-2.7.16... [ppcports] `-- Installing gettext-runtime-0.19.8.1_2... [ppcports] | `-- Installing indexinfo-0.3.1... [ppcports] | `-- Extracting indexinfo-0.3.1: .... done [ppcports] `-- Extracting gettext-runtime-0.19.8.1_2: .......... done [ppcports] `-- Installing libffi-3.2.1_3... [ppcports] `-- Extracting libffi-3.2.1_3: .......... done [ppcports] `-- Installing readline-7.0.5... [ppcports] `-- Extracting readline-7.0.5: .......... done [ppcports] Extracting python27-2.7.16: .......... done Message from python27-2.7.16: =========================================================================== Note that some standard Python modules are provided as separate ports as they require additional dependencies. They are available as: bsddb databases/py-bsddb gdbm databases/py-gdbm sqlite3 databases/py-sqlite3 tkinter x11-toolkits/py-tkinter =========================================================================== ===> py27-Ice-3.6.4 depends on file: /usr/local/bin/python2.7 - found ===> Returning to build of py27-Ice-3.6.4 ===> py27-Ice-3.6.4 depends on executable: gmake - not found ===> Installing existing package /packages/All/gmake-4.2.1_3.txz [ppcports] Installing gmake-4.2.1_3... [ppcports] Extracting gmake-4.2.1_3: .......... done ===> py27-Ice-3.6.4 depends on executable: gmake - found ===> Returning to build of py27-Ice-3.6.4 =========================================================================== =================================================== ===> py27-Ice-3.6.4 depends on shared library: libIce.so.36 - not found ===> Installing existing package /packages/All/Ice-3.6.4.txz [ppcports] Installing Ice-3.6.4... [ppcports] `-- Installing db5-5.3.28_7... [ppcports] `-- Extracting db5-5.3.28_7: .......... done [ppcports] `-- Installing expat-2.2.6_1... [ppcports] `-- Extracting expat-2.2.6_1: .......... done [ppcports] Extracting Ice-3.6.4: .......... done Message from Ice-3.6.4: The default on-the-wire encoding for Ice changed in version 3.5 and IPv6 is now enabled by default. ABI versions are not compatible. For details on these and additional changes please read the upgrading instructions available at http://doc.zeroc.com/x/SQpN For on-the-wire background compatibility add Ice.IPv6=0 Ice.Default.EncodingVersion=1.0 to your Ice application configuration. ===> py27-Ice-3.6.4 depends on shared library: libIce.so.36 - found (/usr/local/lib/libIce.so.36) ===> Returning to build of py27-Ice-3.6.4 ===> py27-Ice-3.6.4 depends on shared library: libIce.so.36 - found (/usr/local/lib/libIce.so.36) ===> py27-Ice-3.6.4 depends on shared library: libdb-5.3.so - found (/usr/local/lib/libdb-5.3.so) =========================================================================== =================================================== ===> Configuring for py27-Ice-3.6.4 =========================================================================== =================================================== ===> Building for py27-Ice-3.6.4 gmake[1]: Entering directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python' ../config/Make.common.rules:217: Ignoring ICE_HOME environment variable to build current source tree. making all in modules gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python/modules' ../../config/Make.common.rules:217: Ignoring ICE_HOME environment variable to build current source tree. making all in IcePy gmake[3]: Entering directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python/modules/IcePy' ../../../config/Make.common.rules:217: Ignoring ICE_HOME environment variable to build current source tree. c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD BatchRequestInterceptor.cpp -MF .depend/BatchRequestInterceptor.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Communicator.cpp -MF .depend/Communicator.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Connection.cpp -MF .depend/Connection.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD ConnectionInfo.cpp -MF .depend/ConnectionInfo.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Current.cpp -MF .depend/Current.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Endpoint.cpp -MF .depend/Endpoint.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD EndpointInfo.cpp -MF .depend/EndpointInfo.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD ImplicitContext.cpp -MF .depend/ImplicitContext.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Init.cpp -MF .depend/Init.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Logger.cpp -MF .depend/Logger.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD ObjectAdapter.cpp -MF .depend/ObjectAdapter.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD ObjectFactory.cpp -MF .depend/ObjectFactory.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Operation.cpp -MF .depend/Operation.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Properties.cpp -MF .depend/Properties.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD PropertiesAdmin.cpp -MF .depend/PropertiesAdmin.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Proxy.cpp -MF .depend/Proxy.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Slice.cpp -MF .depend/Slice.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Thread.cpp -MF .depend/Thread.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Types.cpp -MF .depend/Types.d c++ -c -I. -I/usr/local/include -I/usr/local/include/python2.7 -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -MMD Util.cpp -MF .depend/Util.d rm -f ../../python/IcePy.so.3.6.4 c++ -shared -O2 -pipe -ftemplate-depth-128 -fPIC -DPIC -Wall -D_REENTRANT -I/usr/local/include -DNDEBUG -DLIBICONV_PLUG -fno-strict-aliasing -DLIBICONV_PLUG -L../../python -o ../../python/IcePy.so.3.6.4 -Wl,-h,IcePy.so.36 BatchRequestInterceptor.o Communicator.o Connection.o ConnectionInfo.o Current.o Endpoint.o EndpointInfo.o ImplicitContext.o Init.o Logger.o ObjectAdapter.o ObjectFactory.o Operation.o Properties.o PropertiesAdmin.o Proxy.o Slice.o Thread.o Types.o Util.o -L/usr/local/lib -lIceSSL -lIce -lSlice -lIceUtil -L/usr/local/lib/python2.7/config -lpython2.7 rm -f ../../python/IcePy.so.36 ln -s IcePy.so.3.6.4 ../../python/IcePy.so.36 rm -f ../../python/IcePy.so ln -s IcePy.so.36 ../../python/IcePy.so gmake[3]: Leaving directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python/modules/IcePy' gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python/modules' making all in python gmake[2]: Entering directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python/python' ../../config/Make.common.rules:217: Ignoring ICE_HOME environment variable to build current source tree. /usr/local/bin/python2.7 ../config/s2py.py --prefix Ice_ --no-package -I../../slice --ice ../../slice/Ice/BuiltinSequences.ice gmake[2]: *** [Makefile:108: Ice_BuiltinSequences_ice.py] Segmentation fault (core dumped) gmake[2]: Leaving directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python/python' gmake[1]: *** [Makefile:34: all] Error 1 gmake[1]: Leaving directory '/wrkdirs/usr/ports/devel/py-ice/work/ice-3.6.4/python' *** Error code 1 Stop. make: stopped in /usr/ports/devel/py-ice =>> Cleaning up wrkdir ===> Cleaning for py27-Ice-3.6.4 build of devel/py-ice | py27-Ice-3.6.4 ended at Fri Apr 12 03:24:19 UTC 2019 build time: 00:04:11 !!! build failure encountered !!!